AM3352BZCZA100 Microprocessors - MPU ARM Cortex-A8 MPU

Tlhaloso e Khutšoanyane:

Baetsi: Texas Instruments
Sehlopha sa lihlahisoa: Microprocessors - MPU
Leqephe la lintlha:AM3352BZCZA100
Tlhaloso: IC MPU SITARA 1.0GHZ 324NFBGA
Boemo ba RoHS: E lumellana le RoHS


Lintlha tsa Sehlahisoa

Likaroloana

Lisebelisoa

Li-tag tsa Sehlahisoa

♠ Tlhaloso ea Sehlahisoa

Sehlahisoa Tšobotsi Boleng ba Tšobotsi
Moetsi: Lisebelisoa tsa Texas
Sehlopha sa Sehlahisoa: Li-microprocessors - MPU
RoHS: Lintlha
Mounting Style: SMD/SMT
Sephutheloana/Nyeto: PBGA-324
Letoto: AM3352
Koko: ARM Cortex A8
Nomoro ea Cores: 1 ea mantlha
Bophara ba Bese ea Data: 32 hanyane
Boholo ba Nako ea Oache: 1 GHz
Memori ea Taelo ea Cache ea L1: 32 kB
L1 Cache Data Memory: 32 kB
Voltage e sebetsang ea phepelo: 1.325 V
Mocheso o fokolang oa ho sebetsa: - 40 C
Boholo ba Thempereichara ea Tšebetso: + 125 C
Sephutheloana: Terei
Lebitso: Lisebelisoa tsa Texas
Boholo ba RAM ea data: 64 kB, 64 kB
Boholo ba ROM ea data: 176 kB
Development Kit: TMDXEVM3358
I/O Voltage: 1.8 V, 3.3 V
Mofuta oa Sehokelo: CAN, Ethernet, I2C, SPI, UART, USB
L2 Cache Taelo / Memori ea Boitsebiso: 256 kB
Mofuta oa memori: L1/L2/L3 Cache, RAM, ROM
Ha e Mamelle Mongobo: Ee
Nomoro ea Linako/Libali: 8 Sebali sa nako
Letoto la processor: Sitara
Mofuta oa Sehlahisoa: Li-microprocessors - MPU
Bongata ba Pakete ea Feme: 126
Sehlopha-potlana: Li-microprocessors - MPU
Lebitso la khoebo: Sitara
Linako tsa ho shebella: Sebali sa nako ea Watchdog
Boima ba Yuniti: 1.714 g

♠ AM335x Sitara™ processors

Li-microprocessors tsa AM335x, tse thehiloeng ho processor ea ARM Cortex-A8, li ntlafatsoa ka litšoantšo, ts'ebetso ea litšoantšo, li-peripherals le li-interface tsa indasteri tse kang EtherCAT le PROFIBUS.Lisebelisoa li tšehetsa lisebelisoa tsa boemo bo holimo (HLOS).Processor SDK Linux® le TI-RTOS li fumaneha mahala ho tsoa ho TI.

Microprocessor ea AM335x e na le li-subsystems tse bontšitsoeng ho Functional Block Diagram le tlhaloso e khuts'oane ea e 'ngoe le e' ngoe e latelang:

E na le li-subsystems tse bontšitsoeng ho Functional Block Diagram le tlhaloso e khuts'oane ea e 'ngoe le e' ngoe e latelang:

Microprocessor unit (MPU) subsystem e ipapisitse le processor ea ARM Cortex-A8 mme sesebelisoa sa PowerVR SGX™ Graphics Accelerator se fana ka potlakiso ea litšoantšo tsa 3D ho ts'ehetsa litlamorao tsa ponts'o le lipapali.PRU-ICSS e arohane le mantlha ea ARM, e lumellang ts'ebetso e ikemetseng le ho ts'oara nako bakeng sa ts'ebetso e kholo le ho fetoha habonolo.

PRU-ICSS e nolofalletsa li-interfaces tse eketsehileng tsa peripheral le li-protocol tsa nako ea sebele tse kang EtherCAT, PROFINET, EtherNet / IP, PROFIBUS, Ethernet Powerlink, Sercos, le tse ling.Ntle le moo, sebopeho se hlophisehileng sa PRU-ICSS, hammoho le phihlello ea eona ea li-pin, liketsahalo le lisebelisoa tsohle tsa system-on-chip (SoC), e fana ka phetoho ea ho kenya ts'ebetsong likarabo tse potlakileng, tsa nako ea nnete, ts'ebetso e khethehileng ea ho sebetsana le data, li-interfaces tsa tloaelo. , le ho theola mesebetsi ho tsoa ho li-processor cores tse ling tsa SoC.


  • E fetileng:
  • E 'ngoe:

  • • Ho fihla ho 1-GHz Sitara™ ARM® Cortex® -A8 32‑Bit RISC Processor

    - NEON™ SIMD Coprocessor

    - 32KB ea Litaelo tsa L1 le 32KB ea Cache ea data e Fumanang Phoso e le 'Ngoe (Parity)

    - 256KB ea L2 Cache e nang le Khoutu ea ho Lokisa Phoso (ECC)

    - 176KB ea On-Chip Boot ROM

    - 64KB ea RAM e inehetseng

    – Emolation le Debug – JTAG

    - Selaoli se sitisang (ho fihla ho likopo tse 128 tse sitisang)

    • Memori ea On-Chip ( RAM e arolelanoeng ea L3)

    - 64KB ea General-Purpose On-Chip Memory Controller (OCMC) RAM

    - E fumaneha ho Bo-masters Bohle

    - E tšehetsa ho boloka bakeng sa ho tsoha kapele

    • Mehopolo ea ka Ntle ea Memori (EMIF)

    - mDDR(LPDDR), DDR2, DDR3, DDR3L Controller:

    – mDDR: 200-MHz Clock (400-MHz Data Rate)

    – DDR2: 266-MHz Cclock (532-MHz Data Rate)

    – DDR3: 400-MHz Cclock (800-MHz Data Rate)

    – DDR3L: 400-MHz Cclock (800-MHz Data Rate)

    - 16-Bit Data Bese

    - 1GB ea Sebaka se Ateresehang kaofela

    - E ts'ehetsa Litlhophiso tsa Sesebelisoa sa Memory x16 e le 'ngoe kapa tse peli

    - Molaoli oa Memori ea Sepheo ka Kakaretso (GPMC)

    - Sehokelo sa Memori sa Flexible 8-Bit le 16-Bit Asynchronous E na le Likhetho tse fihlang ho tse Supileng tsa Chip (NAND, NOR, Muxed-NOR, SRAM)

    - E Sebelisa Khoutu ea BCH ho Tšehetsa 4-, 8-, kapa 16-Bit ECC

    - E sebelisa Khoutu ea Hamming ho ts'ehetsa 1-Bit ECC

    - Module oa Sebaka sa Phoso (ELM)

    - E sebelisoa hammoho le GPMC ho fumana liaterese tsa liphoso tsa data ho tsoa ho Syndrome Polynomials e hlahisitsoeng ka Algorithm ea BCH.

    - E ts'ehetsa 4-, 8-, le 16-Bit ho ea ka 512-Byte Block Error Location Thehiloe ho BCH Algorithms

    • Setsi sa Tsamaiso ea Tsamaiso ea Nako ea Sebele le Setsiana sa Puisano ea Liindasteri (PRU-ICSS)

    - E ts'ehetsa li-Protocols tse kang EtherCAT®, PROFIBUS, PROFINET, EtherNet/IP™, le tse ling.

    - Likarolo tse peli tse lokiselitsoeng tsa nako ea 'nete (PRUs)

    - 32-Bit Load/Store RISC processor e khona ho sebetsa ho 200 MHz

    - 8KB ea RAM ea Taelo e nang le Phoso e le 'ngoe (Parity)

    - 8KB ea data ea RAM e nang le Phoso e le 'ngoe (Parity)

    - Multiplier e le 'ngoe ea cycle 32-Bit e nang le 64-Bit Accumulator

    - GPIO e ntlafalitsoeng ea Module e fana ka Tšehetso ea Shift In / Out le Parallel Latch ho Letšoao la Kantle.

    - 12KB ea RAM e arolelanoang e nang le Phoso e le 'ngoe (Parity)

    - Libanka tse tharo tsa 120-Byte Registering tse Finyellehang ke PRU ka 'ngoe

    - Interrupt Controller (INTC) bakeng sa ho sebetsana le Liketsahalo tsa Kenyelletso ea Sisteme

    – Local Interconnect Bus bakeng sa ho hokela Masters a ka hare le a kantle ho lisebelisoa tse ka hare ho PRU-ICSS

    - Lisebelisoa tse ka hare ho PRU-ICSS:

    - Boema-kepe bo le bong ba UART bo nang le Lithapa tsa Taolo ea Phallo, e ts'ehetsa ho fihla ho 12 Mbps

    – One Enhanced Capture (eCAP) Mojule

    - Libaka tse peli tsa MII Ethernet tse tšehetsang Industrial Ethernet, tse kang EtherCAT

    - Boema-kepe bo le bong ba MODIO

    • Matla, Reset, le Clock Management (PRCM) Module

    - E Laola ho Kena le ho Tsoa ha Mekhoa ea ho ema-By le ea Boroko bo Tebileng

    - E Ikarabella bakeng sa Tatellano ea Boroko, Tatelano ea ho Switch Domain ea Power Domain, Tatelano ea ho Tsoha, le Tatelano ea Power Domain Switch-On

    – Lioache

    - Oscillator e kopantsoeng ea 15- ho ea ho 35MHz High-Frequency Oscillator e Sebelisitsoeng ho Hlahisa Clock ea Reference bakeng sa Lioache tse fapaneng tsa Sistimi le Lioache

    - E ts'ehetsa oache ea motho ka mong, e nolofalletsa le ho tima taolo bakeng sa li-subsystems le li-peripherals ho thusa ho fokotsa tšebeliso ea matla.

    - Li-ADPLL tse hlano tsa ho Hlahisa Lioache tsa Tsamaiso (MPU Subsystem, DDR Interface, USB le Peripherals [MMC le SD, UART, SPI, I 2C], L3, L4, Ethernet, GFX [SGX530], LCD Pixel Clock)

    – Matla

    - Libaka tse peli tse sa fetoheng tsa matla (Oache ea Nako ea 'Nete [RTC], Tsoha-Up Logic [WAKEUP])

    - Libaka tse Tharo tse Fetohang tsa Matla (MPU Subsystem [MPU], SGX530 [GFX], Peripherals le Infrastructure [PER])

    - E kenya tšebetsong Sehlopha sa 2B sa SmartReflex™ bakeng sa Sekala sa Core Voltage e Thehiloeng ho Mocheso oa Lefu, Phapano ea Ts'ebetso, le Ts'ebetso (Adaptive Voltage Scaling [AVS])

    - Dynamic Voltage Frequency Scaling (DVFS)

    • Nako ea Sebele (RTC)

    - Letsatsi la Nako ea 'Nete (Letsatsi-Khoeli-Selemo-Letsatsi la Beke) le Nako (Lihora-Metsotso-Metsotsoana) Boitsebiso

    – Ka hare 32.768-kHz Oscillator, RTC Logic le 1.1-V Internal LDO

    - Kenyeletso ea Matla a Ikemetseng (RTC_PWRONRSTn).

    - Pin e Kenyellelitsoeng (EXT_WAKEUP) bakeng sa Liketsahalo tsa Tsoha tsa Kantle

    - Alamo e Reriloeng e ka sebelisoa ho hlahisa litšitiso tsa ka hare ho PRCM (bakeng sa Wakeup) kapa Cortex-A8 (bakeng sa Tsebiso ea Ketsahalo)

    - Alamo e ka khonehang e ka sebelisoa ka Output ea kantle (PMIC_POWER_EN) ho nolofatsa IC ea Tsamaiso ea Matla ho Khutlisa Matla a Non-RTC

    • Lithako

    - Ho fihla ho Boema-kepe ba ho fihla ho tse peli tsa USB 2.0 High-Speed ​​DRD (Sesebelisoa se Sebeli sa Karolo) Ka PHY e Kopantsoeng

    - Ho fihla ho li-MAC tse peli tsa Indasteri tsa Gigabit Ethernet (10, 100, 1000 Mbps)

    – Integrated switjha

    - MAC ka 'ngoe e ts'ehetsa li-interface tsa MII, RMII, RGMII le MDIO

    - Li-MAC tsa Ethernet le Switch li ka sebetsa li sa Ikemele mesebetsing e meng

    - IEEE 1588v1 Precision Time Protocol (PTP)

    – Ho fihla ho Boema-kepe bo Bobeli ba Controller-Area Network (CAN).

    - E tšehetsa likarolo tsa 2 tsa CAN A le B

    - Ho fihla ho li-ports tse peli tsa Multichannel Audio Serial (McASPs)

    - Fetisetsa le ho Fumana Lioache ho fihla ho 50 MHz

    - Ho fihla ho li-Pins tse 'ne tsa data ho boema-kepe ba McASP ka Lioache tse Ikemetseng tsa TX le RX

    - E tšehetsa Multiplexing ea Nako (TDM), Inter-IC Sound (I2S), le liforomo tse ts'oanang

    - E ts'ehetsa phetisetso ea Digital Audio Interface (SPDIF, IEC60958-1, le liforomo tsa AES-3)

    - Li-Buffers tsa FIFO bakeng sa ho fetisa le ho Fumana (256 Byte)

    - Ho fihla ho li-UART tse Tšeletseng

    - Li-UART tsohle li tšehetsa mekhoa ea IrDA le CIR

    - Li-UART tsohle li tšehetsa RTS le CTS Flow Control

    - UART1 e ts'ehetsa taolo e felletseng ea modem

    - Ho fihla ho li-interfaces tse peli tsa Master le Lekhoba la McSPI

    - Ho fihla ho Likhetho tse peli tsa Chip

    - Ho fihlela ho 48 MHz

    - Ho fihla ho tse tharo tsa MMC, SD, SDIO Ports

    - 1-, 4- le 8-Bit MMC, SD, SDIO Mekhoa

    - MMCSD0 e na le Seporo sa Motlakase se Nehetsoeng bakeng sa Ts'ebetso ea 1.8‑V kapa 3.3-V

    - Ho fihla ho 48-MHz Sekhahla sa phetisetso ea data

    - E ts'ehetsa ho Fumana le ho Ngola Tšireletso

    - E lumellana le Litlhaloso tsa MMC4.3, SD, SDIO 2.0

    - Ho fihla ho tse tharo tsa I 2C Master and Slave Interfaces

    - Mokhoa o tloaelehileng (ho fihla ho 100 kHz)

    - Mokhoa o potlakileng (ho fihla ho 400 kHz)

    - Ho fihla ho Libanka tse 'nè tsa Lithako tse Akaretsang tsa I/O (GPIO).

    - Lithakhisa tse 32 tsa GPIO ka Banka (tse ngatafalitsoeng ka Lithapa tse ling tse sebetsang)

    - Lithakhisa tsa GPIO li ka sebelisoa e le lintho tse kenang ka har'a banka (ho fihla ho tse peli tse kenang ka har'a Banka)

    - Likenyo tse fihlang ho tse tharo tsa Ketsahalo ea kantle ea DMA tse ka Sebelisang Hape joalo ka Maikutlo a Khatiso

    - Lihora tse robeli tsa 32-Bit Kakaretso-Morero

    - DMTIMER1 ke 1-ms Nako e Sebelisitsoeng bakeng sa Li-Ticks tsa Sistimi ea Ts'ebetso (OS).

    – DMTIMER4–DMTIMER7 li Pinnoe

    - Sebali se le seng sa Watchdog

    - SGX530 3D Graphics Engine

    - Meaho e Thehiloeng ka Lithaele e Fana ka li-Polygons tse limilione tse 20 motsotsoana

    - Universal Scalable Shader Engine (USSE) ke Multithreaded Enjene e Kenyelletsang Pixel le Vertex Shader Ts'ebetso.

    - Advanced Shader Feature e Behiloe ho Fetang Microsoft VS3.0, PS3.0, le OGL2.0

    - Tšehetso ea API e tloaelehileng ea indasteri ea Direct3D Mobile, OGL-ES 1.1 le 2.0, le OpenMax

    - Phetoho ea mosebetsi o hlophisitsoeng hantle, ho leka-lekanya mojaro, le taolo ea matla

    - Ts'ebetso e tsoetseng pele ea jiometry ea DMA bakeng sa tšebelisano e nyane ea CPU

    - Programmable High-Quality Image Anti-Aliasing

    - Aterese ea Memori e Phethahetseng ka Botlalo bakeng sa Ts'ebetso ea OS ka Meaho e Kopanetsoeng ea Memori

    • Lisebelisoa tsa Lipapali

    • Lisebelisoa tsa Lehae le Liindasteri

    • Lisebelisoa tsa Bongaka tsa Bareki

    • Bahatisi

    • Mekhoa e Metle ea Lekhetho

    • Mechini e hokahantsoeng ea ho rekisa

    • Mekhahlelo ea ho bekha

    • Educational Consoles

    • Lipapali tse tsoetseng pele

    Lihlahisoa tse Amanang